Dose HDL coder generate Verilog HDL-1995 verision or Verilog HDL-2001 version?

1 visualizzazione (ultimi 30 giorni)
When I use HDL advisor, the app will generate Verilog HDL code from matlab function, so is it Verilog HDL-1995 verision or Verilog HDL-2001 version?

Risposte (1)

Bharath Venkataraman
Bharath Venkataraman il 15 Mar 2022
HDL Coder generates HDL code compliant with Verilog-2001.

Prodotti


Release

R2021b

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by