Community Profile

photo

Conglin Pan


Last seen: quasi 2 anni fa Attivo dal 2022

Statistiche

Content Feed

Visto da

Domanda


Dose HDL coder generate Verilog HDL-1995 verision or Verilog HDL-2001 version?
When I use HDL advisor, the app will generate Verilog HDL code from matlab function, so is it Verilog HDL-1995 verision or Veril...

circa 2 anni fa | 1 risposta | 0

1

risposta