Azzera filtri
Azzera filtri

Can anyone Please me on how to build a analog to digital and digital to analog converter Model in simulink?

5 visualizzazioni (ultimi 30 giorni)
I have use DAC where i have to convert a digital signal to analog signal so that i can add it to AWGN channel....And the output should be again converted back to digital....I can easily guess that I require a DAC an ADC... but there are no separate blocks for them in Simulink... And I am unable to do it in simulink?...Plz help me...
  1 Commento
kshama PATEL
kshama PATEL il 17 Mar 2017
Modificato: kshama PATEL il 17 Mar 2017
i am working on the same concept. even i am unable to do DAC in simulink. Please respond back if you find a solution of the same.

Accedi per commentare.

Risposte (1)

Mike Woodward
Mike Woodward il 22 Nov 2011
Dear Yella,
You might find the new free mixed-signal library useful: http://www.mathworks.com/programs/mixed-signal/index.html
Mike

Categorie

Scopri di più su Data Converters in Help Center e File Exchange

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by