Azzera filtri
Azzera filtri

Info

Questa domanda è chiusa. Riaprila per modificarla o per rispondere.

Black box in system generator

2 visualizzazioni (ultimi 30 giorni)
Sandeep Kumar
Sandeep Kumar il 19 Nov 2015
Chiuso: Sabin il 2 Feb 2023
im implementing an "and" gate in system generator using black box, i have coded the "and" gate in vhdl, i have called the block in black box , my a,b,c are all "std_logic" only, i have given fix_1_0 as gateway input to the black box with constant simulink block, but im nt able to simulate the logic in simulator, its nt showing any simulation error, nothing ,kindly help me !!!

Risposte (0)

Questa domanda è chiusa.

Prodotti

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by