Azzera filtri
Azzera filtri

How to generate 1GHz clock in Simulink model ?

1 visualizzazione (ultimi 30 giorni)
Sarfaraz Ahmed
Sarfaraz Ahmed il 3 Ott 2018
Modificato: Sarfaraz Ahmed il 3 Ott 2018
I attach "Discrete Pulse generator" in my simulink model but I cannot find how to make it 1GHz. As I see in properties of pulse generator only period is mentioned which cannot figure out either its milli,micro or nano second. How can I generate 1Ghz clock in simulink ? I attached a snap as well. Thanks

Risposte (0)

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by