sendmail function in simulink

1 visualizzazione (ultimi 30 giorni)
Wen Yin Tay
Wen Yin Tay il 18 Dic 2020
Risposto: Mark McBroom il 25 Dic 2020
Can I create sendmail function in Simulink after an If-else block?
If ican, how can I create the function?

Risposte (1)

Mark McBroom
Mark McBroom il 25 Dic 2020
You should be able to do this inside a MATLAB Function block that is placed into the Simulink model. You will have to designate the sendmail() function as extrinsic.

Categorie

Scopri di più su ThingSpeak in Help Center e File Exchange

Prodotti


Release

R2020b

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by