photo

Yohann GOYER


Last seen: 17 giorni fa Attivo dal 2017

Followers: 0   Following: 0

Messaggio

Statistica

  • Revival Level 1
  • Thankful Level 1

Visualizza badge

Feeds

Visto da

Risposto
Error when using (self created) library block in a model
I got answer from matlab support and I share it here. In fact the code was in the parameter callback. But the code shall be pl...

circa un mese fa | 0

| accettato

Domanda


Error when using (self created) library block in a model
I have created a block in a library. This block works (correct / expected behavior) when I try it in library directly. But whe...

circa 2 mesi fa | 2 risposte | 0

2

risposte

Domanda


In data inspector, how to move a plot backwards (against another plot)
I'm using data inspector to display my data. I want to display 2 plots on the same pannel. What I want to see are a raw data a...

oltre un anno fa | 1 risposta | 1

1

risposta

Domanda


Memory section default configuration
Hello, I got the warning: Memory section default configuration for model xxxMyModelxxx was migrated to code mappings. To modif...

oltre 5 anni fa | 1 risposta | 2

1

risposta

Domanda


Model architecture: signal propagation in subsystem levels
Hello, I'm creating a new simulink project (code generation project) that will contains lot of sub modules and stateflow charts...

oltre 5 anni fa | 0 risposte | 0

0

risposte

Risposto
How to shared model configuration and control main model code interface
This bug is fix in 2018b version. With the latest version of matlab, we can share model configuration with referenced model and...

oltre 5 anni fa | 0

| accettato

Domanda


statflow Syntax coloration problem
Hello, I'm using stateflow and I got a problem with the syntax coloration in the state. Normaly, when I use a function in a st...

oltre 5 anni fa | 0 risposte | 0

0

risposte

Risposto
Hardware implementation: support long long
What I didn't anderstand is that this option "Support Long long" is: Me as developper indicate to Matlab that my compiler doesn'...

oltre 5 anni fa | 0

| accettato

Risposto
Hardware implementation: support long long
In fact when the option is checked, the generated code use long long variables that are not recognized by my compilator (2018a)....

oltre 5 anni fa | 0

Domanda


Hardware implementation: support long long
Hello, I'm using an infineon C166 target (HW). This exist in the pre define HW configuration in matlab. The "support long long...

oltre 5 anni fa | 2 risposte | 0

2

risposte

Risposto
How to close code generation advisor in script?
The problem here is that the panel (the code generation advisor) are opened for each models impacted by the generation: top leve...

quasi 6 anni fa | 0

| accettato

Domanda


How to shared model configuration and control main model code interface
Hello, I have a model using referenced models and I want to generate code. I have the possibility to create a shared configura...

quasi 6 anni fa | 1 risposta | 0

1

risposta

Domanda


How to close code generation advisor in script?
Hello, I want to generate code in a script. After code is generated, the code generation advisor panel is still open*. I need...

quasi 6 anni fa | 1 risposta | 0

1

risposta

Domanda


code generation force C90 usage
Hello, I generate a code from my model. The generated code use function like: fmodf and floorf that are part of math.h but i...

oltre 7 anni fa | 1 risposta | 0

1

risposta