Azzera filtri
Azzera filtri

How to design PI controllers within Maltab?

5 visualizzazioni (ultimi 30 giorni)

Risposta accettata

Sam Chak
Sam Chak il 20 Apr 2022
If the result from the PID Tuner app can be admitted as the design solution, then just do so to directly obtain and .
Otherwise, you may have to design the PI controller manually, by finding the Characteristic Equation.
For example, since the PI controller is given by , can you compute the Closed-loop system for us to see?
%% Simulation
s = tf('s');
Gp = 1/(0.52*s + 1)
Gc = 131/25 + (468/25)/s
Gcl = feedback(Gp*Gc, 1);
Gcl = minreal(Gcl)
figure(1)
step(Gcl, 3) % step response for 3 seconds
figure(2)
rlocus(Gcl) % root locus to evaluate the stability
Results:
  1 Commento
Kyle Ramphal
Kyle Ramphal il 20 Apr 2022
Thank you for your response and yes the result from the PID tuner can be addmitted.

Accedi per commentare.

Più risposte (0)

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by