Azzera filtri
Azzera filtri

I2C Master block in SOC FPGA

2 visualizzazioni (ultimi 30 giorni)
Cau Tran
Cau Tran il 22 Ago 2022
Commentato: Cau Tran il 30 Ago 2022
Hi everyone,
I have some confuse for the model I2C Master in SOC library. I see the Sda, scl, sclIn, sdaIn, I don't know how to implement these one in Xilinx hardware because in I2C protocol, It just have only one SDA and SCL.
And I am trying to simulate this model but It not run well, I think It need to have ack signal from slave device.
Do you have any example for I2C master block, please give me.

Risposta accettata

Kiran Kintali
Kiran Kintali il 29 Ago 2022
Please find attached a sample example of I2C Master and Slave model blocks with behavioral plant models for IMUs.
You can also find I2C Block reference in SoC Blockset here: https://www.mathworks.com/help/soc/ref/i2cmaster.html

Più risposte (0)

Categorie

Scopri di più su System on Chip (SoC) in Help Center e File Exchange

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by