Azzera filtri
Azzera filtri

hdl generated ip stuck at synthesis part in vivado

3 visualizzazioni (ultimi 30 giorni)
i have included the generated matlab hdl generated ip in my vivado block design ,but its been stuck at synthesis part,i have tried creating swamp file but it does not work ,could you please help me

Risposta accettata

Kiran Kintali
Kiran Kintali il 25 Ago 2023
Consider using resource report to make sure you are at a high level within the limits of the FPGA resources.
sfir_fixed
makehdl('sfir_fixed/symmetric_fir', 'ResourceReport', 'on')
If the algorithm specific resource consumption is within area budgets of your target FPGA consider looking at the critical path estimation report.
>> makehdl('sfir_fixed/symmetric_fir', 'CriticalPathEstimation', 'on')
This can help provide insight into why Vivado Synthesis is not converging.
If you continue to find issues please reach to AMD/Xilinx tech support.
  1 Commento
Prashanthi Pathipati
Prashanthi Pathipati il 5 Set 2023
thanks for helping out sir,as you said we have to on the resourcereport i have did that in hdl workflow advisor ,in hdl code generation ,in coding style i have enabled the option generate report .

Accedi per commentare.

Più risposte (0)

Prodotti


Release

R2023a

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by